Vivado自带仿真器:真的不好用吗? 您所在的位置:网站首页 vivado 模块 Vivado自带仿真器:真的不好用吗?

Vivado自带仿真器:真的不好用吗?

#Vivado自带仿真器:真的不好用吗?| 来源: 网络整理| 查看: 265

Vivado仿真器不好用?如果你还不熟悉它的操作方法,可能会有这种感觉

实际上,与ModelsIm相比,Vivado仿真器的仿真速度稍慢,但是它的界面美观整洁,操作丰富且流畅,特别适合于调试仿真时间短的工程和模块。

本文将详细介绍Vivado自带仿真器的主要特性,包括波形配置文件、窗口对象、设置模拟波形显示方式、设置模拟波形显示格式等内容。通过阅读本文,读者可以轻松掌握Vivado自带仿真器的操作方法,使仿真操作如丝般顺滑。

一、主要特性

Vivado自带仿真器Waveform有多个重要特性,如下所示:

可以精确定位任何层次的信号,并在一个波形窗口中同时展示。具有方便易用的界面,可以进行波形缩放、平移、时间轴调整、垂直比例等操作,以便更好地查看和分析波形结果。支持多种波形显示方式和显示格式。

Vivado自带仿真器的速度比modelsim仿真的要慢,一般需要快速长时间的仿真,建议还是用modelsim仿真器。

有关vivado+modelsim的环境搭建:“FPGA开发软件(vivado + modelsim)环境搭建(附详细安装步骤+软件下载)”

二、波形配置文件1、WCFG文件

Vivado仿真器将用户自定义波形显示等方式可以存储成文件,存储文件格式为“WCFG”,包含监视信号、显示方式、字体、颜色等等,可以通过“add source”(快捷键为ALT+A),添加wcfg文件。

启动仿真后会打开一个Wave窗口,如果当前项目没有保存的波形配置文件,则显示为untitled,否则显示波形配置文件的名称。

2、WDB文件

WDB文件用于存储仿真数据,如果想查看上次仿真的结果,那就通过开启静态仿真模式,Vivado Simulator会从WDB文件中读取数据并显示,操作步骤为:“点击Flow菜单下的Open Static Simulation,选择WDB文件”。

三、窗口对象1、waveform仿真窗口

Waveform主要由三个窗口对象组成:仿真源文件窗口、信号列表窗口、波形窗口。

2、波形窗口

波形窗口是Waveform的核心部分,包括了信号名、信号值和波形,展示了各个信号的时序波形,并支持缩放、平移、时间轴调整、垂直比例等操作,以便更好地查看波形结果。

3、仿真窗口控制栏

控制栏里,各个图标的用途:

说明:

查找:可搜索信号名,也可搜索当前信号在某个时刻的值。保存:保存当前信号参数设置。放大:可将整个仿真画面放大。缩小:可将整个仿真画面缩小。标记移动:移动到仿真中下一个时刻标记位置。

显示设置:

Radix:设置信号值显示数据进制,如二进制、十进制、十六进制。Elide Setting:信号设置显示完整性,选择从哪边开始省略字符显示,包括left、middle和right。Draw Waveform Shadow:控制波形显示阴影效果。Show signal indices:控制显示信号列表的行号。Show grid lines:控制显示网格线。Snap to Transition/Floating Ruler:与测量有关,自动捕捉到过渡点Color:设置显示颜色四、信号列表右键菜单设置

在信号列表框中,可以通过“ctrl + 鼠标左键”或“shift + 鼠标左键”选中多个信号,再单击鼠标右键弹出右键菜单设置。这里主要介绍一下经常用的几个功能:

1、Name

设置信号名显示长度,有long 和 short可选。

2、Waveform Style

选择模拟(Analog)或数字(Digital)显示方式,Anolog Settings中可以设置模拟波形的显示方式。

注意:模拟显示仅支持位宽不超过64Bits

3、signal color

设置当前信号显示的颜色,多种颜色可选,用于区分不同信号还是挺方便的。

4、Radix

支持多种数据格式可选,可设置显示数据格式:二进制、8进制、十进制、十六进制、有符号数、无符号数、浮点数、定点数。

5、Reverse bit order

信号数据bit位顺序反一下,如下图所示:

6、New group

用于将信号分组,用于调试多个模块时非常方便,推荐大家使用,可避免调试时看到的数据信号太多太乱。

四、总结

Vivado自带仿真器Waveform是一个功能强大的FPGA开发工具,具有方便易用的界面和多种波形显示方式和显示格式。掌握并熟练使用Waveform,将有助于用户更好地进行FPGA设计和调试。

本文将不断定期更新中,点⭐️赞,关⭐️注,收⭐️藏一下,不走丢哦

本文由FPGA入门到精通原创,有任何问题,都可以在评论区和我交流哦

更多更丰富的FPGA开发知识,请@FPGA入门到精通,github开源代码:“FPGA知识库”

你的支持是我持续创作的最大动力!如果本文对你有帮助,请给一个鼓励,谢谢。



【本文地址】

公司简介

联系我们

今日新闻

    推荐新闻

    专题文章
      CopyRight 2018-2019 实验室设备网 版权所有